CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl hour

搜索资源列表

  1. clock

    0下载:
  2. VHDL语言写的电子时钟,该数字电子钟能够实现时、分、秒计时功能;校准时和分的功能;校准时间时秒清零的功能;整点报时的功能;-written in VHDL,clock,count second,minute and hour
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-14
    • 文件大小:3242614
    • 提供者:聪聪
  1. szz

    0下载:
  2. 基于VHDL语言编写的EDA程序,可试小时分秒的自动进位,也可手动调时。-Based on Automatic carry EDA VHDL language program, you can try hour, minute and second, you can manually adjust the time.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9750020
    • 提供者:齐天力
  1. ClockQUARTUSVHDL

    0下载:
  2. 12/24小时数字时钟VHDL设计 包括顶层文件的设计和VHDL源程序-12/24 hour digital clock design, including the top-level VHDL design and VHDL source code file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:315232
    • 提供者:
« 1 2 3»
搜珍网 www.dssz.com